Design and Simulation of a High Frequency Exactly Solvable Chaotic Oscillator by Aubrey Beal A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master of Science Auburn, Alabama August 4, 2012 Keywords: Chaos Communications, Oscillator Theory, High Frequency Circuits Copyright 2012 by Aubrey Nathan Beal Approved by Robert N. Dean, Chair, Associate Professor of Electrical Engineering Thomas A. Baginski, Professor of Electrical Engineering Michael C. Hamilton, Assistant Professor of Electrical Engineering ii Abstract It has been shown that the performance of communication systems based on low dimensional chaotic systems with exact analytic solutions containing a single fixed basis function may exhibit performance comparable to that of nonchaotic systems. Previously, novel low frequency (LF) oscillators exhibiting solvable, chaotic behavior have been proposed, although the generation of low frequency signals has limited applicability in the field of communications. These limitations motivate the development of similarly solvable, chaotic oscillators that operate in high frequency (HF) bands (>1MHz). The design and simulation of a HF exactly solvable chaotic oscillator has been submitted. The behavior of this oscillator, although chaotic, is solvable, giving rise to encoding or encryption applications. This oscillator may be encoded by means of small perturbation control known as Hayes type chaos communications. Furthermore, it has been shown that symbolic information encoded with oscillators of this topology may be extracted accurately and elegantly through means of matched filter decoding. iii Acknowledgments I would like to thank my friends and family for their continued support in my decision to further my education. Furthermore, I would like to thank my advisor Dr. Robert Dean and my committee members Dr. Thomas Baginski and Dr. Michael Hamilton for their patience and guidance throughout this work. A special appreciation should be given to Dr. Dan Hahs and Dr. Ned Corron for their contributions to the project as well as previous work that made this technology possible. iv Table of Contents Abstract ........................................................................................................................................... ii Acknowledgments.......................................................................................................................... iii List of Tables ............................................................................................................................... viii List of Figures ............................................................................................................................... iix List of Abbreviations .................................................................................................................... xii Chapter 1 - Introduction .................................................................................................................. 1 Chapter 2 - Background Material & Literature Review ................................................................. 4 Section 1 - Oscillator Theory ...................................................................................................... 4 Section 2 - Linear Oscillator Governing Equations .................................................................... 7 Free Oscillations ..................................................................................................................... 7 Phase Diagrams ....................................................................................................................... 8 Forced Oscillations ............................................................................................................... 11 Section 3 - Linear Control Theory ............................................................................................ 16 Linear Signals and Systems .................................................................................................. 16 Analog Signals ...................................................................................................................... 17 Discrete Signals .................................................................................................................... 18 v Control Models for Systems ................................................................................................. 18 S-Plane .................................................................................................................................. 19 Section 4 - System Stability ...................................................................................................... 24 Barkhausen Criterion ............................................................................................................ 24 S-Plane Stability.................................................................................................................... 26 Routh-Hurwitz Stability Criterion ........................................................................................ 26 Section 5 - Mechanical Oscillations ......................................................................................... 28 Simple Harmonic Pendulum ................................................................................................. 28 Section 6 - Electronic Oscillations ............................................................................................ 32 Section 7 - Electronic Oscillators ............................................................................................. 35 Linear Electronic Oscillators ................................................................................................ 35 Nonlinear Electronic Oscillators ........................................................................................... 36 Section 8 - Nonlinear Oscillator Governing Equations and Chaos ........................................... 38 Double Spring System .......................................................................................................... 38 Phase Diagrams of Nonlinear Systems ................................................................................. 42 Planar Pendulum ................................................................................................................... 43 Chaotic Pendulum ................................................................................................................. 44 Lyapunov Exponents ............................................................................................................ 45 Chua?s Circuit ....................................................................................................................... 46 Section 9 - Literature Review ................................................................................................... 48 vi A Matched Filter for Chaos .................................................................................................. 48 Secure Communications for Military Applications .............................................................. 48 Chaos and Chaotic Systems .................................................................................................. 48 Exactly Solvable Chaos for Communications ...................................................................... 49 Chapter 3 - Design, Simulation & Testing.................................................................................... 52 Section 1 - Design ..................................................................................................................... 52 Frequency Limitations of the TL084 .................................................................................... 54 High Frequency Circuit Design and Op amp Selection ........................................................ 62 Printed Circuit Board Design ................................................................................................ 64 Section 2 - Simulation ............................................................................................................... 67 LTSPICE ............................................................................................................................... 67 High Frequency Chaotic Oscillator Simulation .................................................................... 67 FPGA Logic Simulation ....................................................................................................... 69 Section 3 - Testing .................................................................................................................... 71 Low Frequency Testing ........................................................................................................ 71 High Frequency Testing ........................................................................................................ 77 Chapter 4 - Conclusion & Future Work ........................................................................................ 80 Section 1 - Conclusion .............................................................................................................. 80 Section 2 - Future Work ............................................................................................................ 81 References ..................................................................................................................................... R1 vii Appendix A - HF Chaotic Oscillator Circuit Schematic ................................................................. I Appendix B - HF Chaotic Oscillator SPICE Netlist ....................................................................... II Appendix C - HF Chaotic Oscillator State Machine VHDL Code ............................................... III viii List of Tables Table 1. Table of resonant frequencies for oscillations with different damping coefficients .... 14 Table 2. Table displaying oscillator frequency for feedback voltage values .............................. 61 ix List of Figures Figure 1. A typical sinusoidal waveform presented as a function of time. ..................................... 5 Figure 2. Typical trajectories which characterize the phase diagram of sinusoidal functions. .... 11 Figure 3. A function block diagram for an arbitrary process ........................................................ 18 Figure 4. A function block diagram of a open-loop control system. ............................................ 19 Figure 5. A function block diagram of a typical closed-loop control system. .............................. 19 Figure 6. A typical s-plane plot for a system ................................................................................ 20 Figure 7. A plot of pole locations for increasing damping factor. ................................................ 21 Figure 8. Plot used to geometrically evaluate residues ................................................................. 22 Figure 9. A function block diagram of a generalized closed-loop system with feedback. ........... 24 Figure 10. A plot of s-plane regions labeled with appropriate stability........................................ 26 Figure 11. A pendulum system capable of behaving in an oscillatory manner. ........................... 28 Figure 12. Force diagram of a pendulum system. ......................................................................... 29 Figure 13. A circuit schematic for an RLC circuit. ....................................................................... 32 Figure 14. Schematic of a phase-shift oscillator circuit realized with an op amp. ....................... 36 Figure 15. A circuit schematic of a Colpitts oscillator realized with an NPN BJT. ..................... 36 Figure 16. Circuit schematic of multivibrator circuit implemented using NPN BJTs. ................. 37 Figure 17. A double spring mechanical system capable of nonlinear motion. ............................. 39 Figure 18. The phase portrait for a system with assymetric potential. ......................................... 42 Figure 19 Diagram of a planar pendulum. .................................................................................... 43 x Figure 20. Diagram of a forced pendulum system. ....................................................................... 45 Figure 21. Circuit schematic of Chua's circuit with an active inductor. ....................................... 47 Figure 22. Circuit schematic of the LF chaotic oscillator. ............................................................ 52 Figure 23. C2 = 1uF Time Domain Plot ....................................................................................... 55 Figure 24. C2 = .4uF Time Domain Plot. ..................................................................................... 55 Figure 25. C2 = .2uF Time Domain Plot. ..................................................................................... 55 Figure 26. C2 = 1pF Time Domain Plot. ...................................................................................... 56 Figure 27. Frequency Domain Plot as C varies (1uF-1pF) ........................................................... 56 Figure 28. Time domain for infinitesimally small C value (.01pF). ............................................. 56 Figure 29. Frequency domain for infinitesimally small C2 value ................................................ 57 Figure 30. Time domain for L = 100mH and infinitesimally small C value ................................ 58 Figure 31. Frequency domain for L = 100mH and infinitesimally small C value ........................ 58 Figure 32. Time domain for L = 10mH and infinitesimally small C value .................................. 58 Figure 33. Frequency domain for L = 100mH and infinitesimally small C value ........................ 58 Figure 34. Time domain for L = 1mH and infinitesimally small C value. ................................... 59 Figure 35. Time domain for L = 1mH and infinitesimally small C value .................................... 59 Figure 36. Abbreviated schematic of feedback voltages measured. ............................................. 60 Figure 37. Oscillation produced when logic level '1' .................................................................... 61 Figure 38. Frequency domain for oscillation produced when logic level '1'. ............................... 61 Figure 39. Oscillation produced when logic level '0' .................................................................... 61 Figure 40. Frequency domain for oscillation produced when logic level '0' presented ................ 62 Figure 41. Open loop frequency and phase response plot for the LMH6609 op amp. ................. 63 Figure 42. Frequency and phase response for the LT1220 op amp .............................................. 63 xi Figure 43. Schematic diagram of the simulated RF chaos oscillator circuit. ............................... 64 Figure 44. Screen capture of the CAD tool FreePCB. .................................................................. 65 Figure 45. CAD illustration of the final PCB design. ................................................................... 66 Figure 46. Photograph of the final PCB which has been populated ............................................. 66 Figure 47. Screen capture of the simulation tool LTSPICE IV. ................................................... 67 Figure 48. Simulated phase portrait of the HF chaotic oscillator generated by LTSPICE IV. ..... 68 Figure 49. Simulated time domain data generated by LTSPICE IV............................................. 68 Figure 50. Simulated Frequency domain data generated by LTSPICE IV. .................................. 69 Figure 51. Portion of the chaotic oscillator implemented on FPGA. ............................................ 70 Figure 52. Input and output waveforms generated in ModelSim. ................................................ 70 Figure 53. Testing area for the LF chaotic oscillator. ................................................................... 71 Figure 54. Breadboarded LF chaotic oscillator prototype. ........................................................... 72 Figure 55. Circuit schematic of the LF chaotic oscillator. ............................................................ 72 Figure 56. Increasing zoomed oscilloscope data of the LF chaotic oscillator phase space. ......... 74 Figure 57. LF chaotic oscillator operating in the folded band ...................................................... 75 Figure 58. Phase portrait of the LF chaotic oscillator circuit operating in the shift band. ........... 76 Figure 59. LF chaotic oscillator operating in the shift band ......................................................... 76 Figure 60. Zoomed view of the LF chaotic oscillator operating in the shift band ........................ 77 Figure 61. Populated PCB containing the HF chaotic oscillator circuit. ...................................... 78 Figure 62. Oscilloscope data of the HF chaotic oscillator circuit. ................................................ 78 Figure 63. Oscilloscope data showing correct time domain operation. ........................................ 79 xii List of Abbreviations AM Amplitude Modulation ASIC Application Specific Integrated Circuit BJT Bipolar Junction Transistor FM Frequency Modulation GIC General Impedance Converter HF High Frequency LF Low Frequency NIC Negative Impedance Converter VHF Very High Frequency Op Amp Operational Amplifier PCB Printed Circuit Board PM Phase Modulation RF Radio Frequency SOC System on Chip VHDL VHSIC Hardware Description Language VHSIC Very High Speed Integrated Circuits 1 Chapter 1 - Introduction Symbolic dynamics of chaotic waveforms has been considered an advantageous and intriguing method for coding information for high bandwidth applications [1][3]. The common assumption that the complexity of chaotic behavior denies analytic solution has been shown to be false [1][6][7]. Because the deterministic nature of select chaotic systems provides a closed form solutions for nonlinear behavior in dynamical systems, these chaotic systems can be used for a variety communications related encryption and encoding. In the case of difference equations, the convolution of a random process and an acausal basis pulse may represent the trajectories of the shift map and Baker's map [8]. It has been shown that a chaotic oscillator may be confined to a targeted trajectory within its attractor by use of small perturbations [4]. Experimentally, information has been encoded within electronic communication systems demonstrating this principle and proving its efficiency due to the resulting waveform's consistency with the chaotic oscillator's unperturbed dynamics [5]. An exactly solvable chaotic differential equation has been described [11] and similarly an electronic circuit exhibiting similar features at low frequencies has been developed [1]. Similar to the aforementioned LF solvable chaotic oscillator, the oscillator presented is a hybrid system that contains a discrete switching condition as well as models a continuous differential equation. This system is described by a class of differential equations with piecewise constant arguments. This linear system provides the instability required for chaos between discrete switching events. This instability is constrained by a guard condition which, by means of equilibrium point switching, yields a trajectory fold as required for chaos generation. For oscillators of the topology presented, returns in the continuous state sampled at regular switching times are conjugate to a shift map, proving the oscillator is chaotic. 2 A few conventional disadvantages of electronic communications systems utilizing chaotic behavior have been mitigated due to the development of a corresponding matched filter for exactly solvable, low dimensional, hybrid signal chaotic oscillators [1]. These techniques were implemented with a fundamental frequency on the order of 84Hz. The nature of these low frequency signals introduces challenges when designing the oscillator for applications in electronic communication. Because the fundamental frequency of this oscillator is so low, advantages such as electrical resonance cannot be fully employed when designing antenna systems. Other disadvantages of a low fundamental frequency include a large radar minimum feature size detection, low data rate as well as large circuit elements (inductors). Furthermore, high frequency design will be capable of utilizing advantages in spread spectrum technologies [19]. The primary purpose of this work was to increase the frequency of operation from 84Hz to greater than 1MHz in order to greatly increase the practical application of chaotic oscillators of this topology. Presented in this thesis is a HF exactly solvable chaotic oscillator that exhibits operation above 1MHz while maintaining a topology which is compatible with a matched filter, allowing successful extraction of encoded information. In increasing the frequency, it is important that the resulting HF system maintain the performance characteristics of the LF system, such as bit error rate. Background material is provided for elementary linear oscillator and control theory in the form of differential equations describing such systems. These systems are linearized by making simplifying assumptions. This perspective allows insight to system stability. A review of electronic oscillator design and topology is also given. Once this linear background material is reviewed, a brief, broad-stroke introduction into relevant behavior of nonlinear systems is provided. This provides a comparison to linearized systems as well as an introduction to selected vernacular and analysis techniques used to verify chaotic operation of the HF, exactly solvable, chaotic oscillator. A practical review of communication theory as well as HF circuit and PCB design techniques is also given. 3 A terse review of relevant literature is provided. This literature introduces previous work that is closely related to the work presented in this thesis. Following this literature review, the design simulation, testing and conclusions of this body of work are presented with a brief discussion of future work. 4 Chapter 2 - Background Material & Literature Review Section 1 - Oscillator Theory Oscillations are phenomena of variations or fluctuations within a system, usually with some parameter such as physical position or electrical voltage with respect to time. These fluctuations are prominent as well as periodic in un-damped or underdamped systems as will be thoroughly discussed later. Important phenomena such as resonance and instability are exhibited where oscillations are found. In general, a theoretically perfect oscillation may be mathematically modeled by simple trigonometric functions such as a sine or cosine function. When ignoring damping; general, perfect sinusoidal oscillations in one dimension take the form of where is the amplitude (maximum value of the fluctuating parameter) of the oscillation and is the angular frequency (rate at which the dependent parameter ?y? fluctuates) which has units of [rads/s].*NOTE* The form expressed as cosine may be rewritten as a sine function with a phase shift of . For most discussions the choice of a sine function or cosine function will be arbitrarily designated. Oscillations are considered to be periodic and this period can be expressed as which has units of seconds [s]. The frequency of the oscillation is related to angular frequency by the expression and has units of Hertz [Hz]. Finally, the phase of the oscillation is represented by and has units of radians or degress. A plot of a general sinusoidal waveform is provided in Figure 1. 5 Figure 1. A typical sinusoidal waveform presented as a function of time. In nature oscillations are not perfect sinusoids. Oscillations may grow and/or decay and may often contain more than one frequency. Each of these various ?imperfections? contributes to an alteration of the envelope of the waveform. Physical waveforms may take many shapes, but all oscillations will exhibit some sort or periodicity. In order to mathematically model a growing or decaying waveform an exponential term may multiplied by a periodic function yielding a new function of the form for a decaying waveform or for a growing waveform. Natural oscillations in general will exhibit distinct variations in measured parameters (such as frequency, period, amplitude and phase) from their ideal mathematical model. Some of these variations may be used or elaborated upon to usefully manipulate a signal. This is particularly prominent in the field of Communications. The amount that the fluctuating parameter will vary is referred to as the amplitude and as mentioned previously can represent a variety of physical quantities. As it will be shown, this amplitude can be modulated to encode information and is referred to as Amplitude Modulation (AM). Other forms 6 of modulation techniques allow for other sinusoidal parameters to be changed such as phase in Phase Modulation (PM) or frequency in frequency modulation (FM). An oscillation which does not exhibit a sinusoidal envelope is referred to as a nonsinusoidal or nonlinear oscillator. Common nonlinear oscillations include square waves, triangle waves and the ramp function and are usually created electrically by an event which causes a state change and are commonly described as relaxation oscillators. Nonlinear oscillators will be described in further detail when the matter of frequency content is addressed. As mentioned previously a pure sine wave does not exist in nature. The envelope of a given waveform may be any arbitrary shape, but as long as the waveform exhibits some degree of periodicity it may be considered an oscillation. In general, these complicated oscillations are often considered nonlinear. Periodicity may be observed if a function satisfies where is the period of the function. With real world signals where is some tolerance of error due to noise or measurement errors. 7 Section 2 - Linear Oscillator Governing Equations In order to discuss nonlinear and chaotic oscillations, an extensive analysis of linear oscillatory systems is provided. Consider the oscillatory motion of a particle or system which is constrained to one dimension and also assume that a stable equilibrium exists for this system or particle. Oscillations will occur when the system or particle is perturbed from its equilibrium and some restoring force restores the system or particle back to equilibrium. This restoring force in many physical cases may be extremely complicated to model. In order to simplify analysis, it is assumed that this restoring force is directed toward the equilibrium and is only a function of the independent variable . Furthermore, it is assumed that possesses continuous derivatives of all orders such that it may be expanded by use of the Taylor series where is the value of at the origin, and is the nth derivative at the origin. This is assumption will allow the linearization of the system. The equilibrium point is a convenient location to define the origin of the system. The Taylor series expansion related assumptions cause to vanish. This should be intuitive because otherwise the particle or system would progress away from the equilibrium point without returning. Neglecting any term higher than the first order creates a linear (first) approximation of . This method will prove quite useful when employing analytical methods, and for simple systems with small perturbations, this assumption will be relatively accurate. Chaotic and non-linear oscillators will differ from these assumptions and will be discussed further in detail in the appropriate section. Free Oscillations Simple harmonic motion (purely sinusoidal) is usually not the most accurate model for physical systems, but is the simplest oscillatory behavior to analyze. This behavior can be ?forced? by an external function or unforced (?free?). The second order differential equation describing these types of 8 oscillations is of the form or where is the damping parameter, is the resonant frequency and is the external forcing function. In the case of free simple harmonic motion, consider such that . This form of oscillatory behavior serves as a starting point for simple analysis [12]. The roots of the auxiliary equation can be found by solving the quadratic equation which yields: The general solution to the differential equation describing oscillations is of the form: The roots of the auxiliary equation determine three distinct behaviors of damped oscillating systems (underdamped, critically damped and overdamped). Each of these three scenarios yields a different solution to the differential equation for oscillatory systems: Phase Diagrams When considering one-dimensional oscillations, knowledge of the state of a system can be extremely useful in describing that system?s behavior. It has been established that these types of oscillations are governed by a homogeneous second order differential equation of the form and will yield general solutions of the form which is a function that can mathematically describe linear oscillations such as . 9 Every state of this type of system at any one, causal instant in time may be described completely by considering the system?s initial conditions and and observing how these conditions evolve in time. Note that these two quantities are needed due to the system?s governing equation being second order. If these two values are plotted in a space (called the phase space), the coordinate of point will change as the variable evolves and traces a path (called phase path). For the two dimensions mentioned, the phase space is called a phase plane, although in general, an oscillating system of degrees of freedom (or order ) will exhibit dimensions for its phase space [13]. This phase path will differ for different initial conditions. Any given phase path provides a complete time history of the oscillator for those specific initial conditions. The totality of all possible phase paths comprises the phase diagram and is sometimes called the phase portrait. A phase diagram of a typical sinusoidal signal is shown if Figure 6. Recall for a free, simple harmonic oscillator that . This yields . In order to generally describe possible phase paths for this system, consider the following simple algebraic manipulation. 10 Recall the trigonometric identity . This will allow for the elimination of the variable and yields an equation describing a family of ellipses. Note that the ordinate of the phase plane may be chosen to be to yield a family of circles. 11 Figure 2. Typical elliptical trajectories which characterize the phase diagram of sinusoidal functions. Because the solution to the differential equation is unique, each phase path must correspond to one and only one set of initial conditions and . As a result, no two phase paths (ellipses) may cross. Forced Oscillations In contrast to free oscillations in which , oscillations which have a nonzero forcing function are considered forced oscillations. These oscillations may be excited or driven by many different functions such as the unit-step function, Dirac delta function or even other sinusoidal functions. Sinusoidal Driving Forces One of the simplest instances of a driven oscillation is an oscillation with a harmonically time varying external force or sinusoid . The system can be expressed as: 12 The solution to this second order differential equation will consist of two parts; a complementary function for the left hand side of the equation and a particular solution which reproduces the right hand side of the equation. The complimentary solution will be similar to previously discussed equations taking the similar form. The particular solution takes the form: By substituting and expanding and : Because and are linearly independent, this equation can be generally satisfied only by removing the coefficient of each term. Thus, the term yields: Through simple trigonometric identities the sine and cosine functions may be written as: The coefficient of the may be written as: 13 Ultimately, the particular function is: and The quantity is the phase difference between the driving force and resulting function. A real delay will occur between the applied driving force and the response of the system. If is restricted to a fixed value, and is increased from 0, the phase difference at will also increase to at and eventually as . The general solution may be written as: Resonance Phenomena The concept of resonance develops when discussing sinusoidal driving forces. It has been discussed that a system may be driven by a sinusoid at a frequency . When this driving frequency is equal to what is called the system?s resonant frequency , the system is said to be driven at resonance ( ). When a system is driven at resonance, the amplitude will be at its maximum value. In order to find the resonant frequency, the derivative of is taken with respect to such that: 14 The differentiation yields: It should be noted that an increased damping factor will decrease the resonant frequency of the system. Also, no resonance will occur for imaginary values of the resonant frequency. This causes the amplitude to decrease monotonically with increasing . Imaginary values for the resonant frequency will occur when . A summary of the three cases of resonance which can be found depending on the damping factor are provided in Table 1. Table 1. Table of resonant frequencies for a variety of oscillations with different damping coefficients. Type of Oscillation Damping Resonant Frequency Free Oscillations No Damping Free Oscillations Damping Driven Oscillations Damping The degree to which a system is damped is customarily measured by its quality factor or Q. A system's quality factor is qualitatively defined as the ratio of stored energy in that system to the energy dissipated by that system. In terms of resonant frequency the quality factor can be written as: 15 For a lightly damped oscillator, the Q is very large which provides a very narrow, tall spike in the system?s amplitude at its resonant frequency when considering a plot of its amplitude vs. frequency. Conversely, if an oscillator is very heavily damped, its amplitude will be a broad, short increase. The Q of a lightly damped system may be reasonably approximated by: 16 Section 3 - Linear Control Theory In order to apply the governing equations of oscillator behavior found in systems, techniques employed from Linear Signals and Systems, and Control Theory may simplify analysis. The goal of Control Theory in engineering is to better understand and control forces of nature by using elements of feedback theory and linear systems analysis. A control system is defined as an interconnection of components forming a system configuration that will provide a desired system response. Two types of control systems, open-loop and close-loop, exist and will be examined appropriately. Fundamental aspects of these control systems will be presented. Linear Signals and Systems A signal is considered any function of time that represents some physical behavior of the interconnection and interaction of components which comprises a system. Signals may be analog, continuous in the time domain, or digital, discrete in the time domain. A system which is comprised of both types of signals is called a mixed signal system. For linear analysis it is assumed that these systems will exhibit the necessary condition that for an excitation of an input a response, or output, exsists [20]. Furthermore, a linear system must validate two properties, superposition and homogeneity. The principle of superposition which must be maintained states that: While the principle of homogeneity which must be maintained states that: If both of these conditions are met, then a system can be considered to be linear. In order to consider a non-linear system, an example of the function may be submitted because the superposition property is not satisfied. 17 Also, consider a system described by the equation of a line . This system is technically non-linear in general because it does not satisfy the homogeneity property. However, if the system is restricted to small changes and about an operating point it can be modeled as a linear system. Consider: Therefore which satisfies the necessary conditions. It has also been shown that systems with governing equations which can be described over a continuous range of interest may be expanded using the Taylor series. From this Taylor series, a linear approximation about an operating point may be made by ignoring any terms in the Taylor series expansion higher than the first order [20]. Analog Signals Analog signals are signals which are continuous in the time domain. These types of signals must satisfy the conditions for continuity. A signal is considered to be continuous at a point if . A signal is considered continuous if it is continuous at all points [20]. The term continuous may refer to a continuous-time signal or a continuous time signal that is continuous. For example, an analog signal may be continuous in time but have local discontinuities. An analog signal may be considered discontinuous at a fixed point if where and are infinitesimal positive numbers [20]. 18 Discrete Signals Discrete signals are comprised of discrete-time variables. A time variable is discrete if takes on only the discrete values for some range of integer value of . A discrete time signal is a function of the discrete time variable . A digital signal is often created by sampling some analog signal by means of applying a delta function at some period interval [20]. Control Models for Systems As mentioned, two models for control systems, open-loop and closed-loop, may be used to produce a desired output [18]. A desired output is produced applying some process or series of processes to an input signal. A cause and effect relationship is formed between the input and output signals by such processes and can be represented by a function block diagram as shown in Figure 3. Figure 3. A function block diagram for an arbitrary process which has one input and one output. These processes may be controlled with the use of a controller, actuator and with or without various forms of feedback. Open-loop Control Systems An open-loop control system modifies some process directly by use of a controller and an actuating device (also referred to as a plant or plant function) in order to achieve a desired output response [18]. This general relationship is shown in Figure 4. A key characteristic of open-loop control systems is that such systems do not employ feedback in their topology. 19 Figure 4. A function block diagram of a typical single input, single output open-loop control system. Closed-loop Control Systems In contrast to an open-loop control system, a closed-loop control system performs some sort of measurement of a system?s actual output via some sensing device. This measurement is utilized as a feedback signal [18]. The feedback signal is compared to the desired output response and the control system makes a dynamic adjustment bringing a system?s actual output closer to the desired output. This control topology is shown in Figure 5. A closed-loop control system is unique because under certain conditions, the system will exhibit oscillations. Although in some systems oscillations are undesirable, the criteria for a system?s oscillation can be used to design oscillators. Figure 5. A function block diagram of a typical closed-loop control system. S-Plane A graphical portrayal of a system?s poles and zeros comprises the complex frequency s-plane. This plot provides insight to a system?s frequency dependent behavior and stability which inadvertently provides information about a system?s natural transient behavior. Recall the equation: 20 It has been shown that depending on the values of the damping ratio and natural frequency of the system, the poles of this equation are: or The s-plane plot containing the poles and zeros of is shown in Figure 6 with . Figure 6. A typical s-plane plot for a system which has complex conjugate poles and a single zero. Assuming that the system?s resonant frequency is constant, the system?s damping factor will vary as the complex conjugate roots follow a circular locus as illustrated in Figure 6. The system?s 21 transient response becomes increasing oscillatory as its roots approach the imaginary axis. Undamped oscillations occur as approaches the imaginary axis (right hand plane). A system with poles in the right half plane will be unstable, and can exhibit oscillations. By pushing a system?s poles into the right half plane, an oscillator may be designed given that the system?s instability does not latch. Similarly, as the poles are moved further to the left half plane, the damping factor causes the envelope of the oscillation to dampen more quickly. This relationship can be shown graphically in terms of pole and zero location by considering the plot in Figure 7 [18]. Figure 7. A plot of pole locations for increasing damping factor. The s-plane may also be useful in graphically evaluating a function?s inverse Laplace transform. Consider the partial fraction expansion of a function with two poles: Assuming that and are complex conjugates, the residues and will also be complex conjugates. 22 The residue may be geometrically evaluated from Figure 8. Figure 8. Plot used to geometrically evaluate the residues for Y(s) in Equation 3-39. Where is the magnitude of and is the magnitude . Because : 23 Finally, the function can be expressed in the time domain using the inverse Laplace transform and Euler's trigonometric identities [18]. Let . 24 Section 4 - System Stability When designing a system, it is important to consider the system?s stability. A system such as an electrical amplifier may be designed to be very stable. In contrast to such stable systems, unstable systems may be designed to form oscillators. A system is said to be stable if its dynamic response to a bounded input is also bounded. The stability of a system may be described or analyzed by different methods, such as the Barkhausen criterion, the root locus (s-plane) of the system, the Nyquist stability criterion or the Routh- Hurwitz criterion [18]. Because this type of analysis is complex and usually limited to linear systems, only Barkhausen?s criterion, a simple graphical method in the s-plane, and the Routh-Hurwitz criterion are presented. Barkhausen Criterion A linear, closed loop system?s stability may be described by the Barkhausen Criterion. The Barkhausen criterion for oscillations states that if the gain of an electrical amplifier is and the transfer function of the feedback path is , then the circuit will sustain steady-state oscillations only at the frequencies for which the loop gain is unity and the phase shift around the loop is either zero or a multiple of [16] [17] [18]. This can be illustrated by considering the system illustrated in Figure 9. Figure 9. A function block diagram of a generalized, frequency dependent closed-loop system with feedback. 25 When analyzing this generalized system?s transfer function, a pole is found to be at . Considering that the feedback being applied is negative, this gives that function is undefined, or unstable, for the frequency corresponding to this pole. It should be noted that Barkhausen?s criterion is not a sufficient condition for oscillations, although it is necessary. This means that some circuits which satisfy this condition may not oscillate. 26 S-Plane Stability When analyzing a system?s poles and zeros in the s-plane, information about the system?s stability may be quickly and graphically ascertained by simply identifying the location of the system?s poles as shown if Figure 10 [18]. Figure 10. A plot of s-plane regions labeled with appropriate stability. In general, if a system?s poles lie in the left half plane, its response will be over damped and no sustained oscillations will occur. If a system?s poles lie in the right half plane, the system will exhibit oscillations with not damping [18]. Routh-Hurwitz Stability Criterion The Routh-Hurwitz stability criterion may be employed to investigate a linear system?s stability by considering its characteristic equation. This method is particularly useful, because unlike other criterion used, the Routh-Hurwitz stability criterion is both necessary and sufficient in describing a system?s stability [18][21]. The characteristic equation of a system may be written with the Laplace variable generally as: 27 A system?s stability may be confirmed by simply verifying that all of the roots of the characteristic equation lie in the right half plane[18]. The characteristic equation may be factored to the form: This expression may be loosely stated as: When examining these expanded equations, it is noted that for a system to be stable, all the coefficients of the polynomial must have the same sign in order for all the roots to remain in the left-half plane. Furthermore, it is necessary that all the coefficients by nonzero for the system to be stable. This method can be elaborated into matrices in order to conveniently evaluate the stability of high order systems [18]. 28 Section 5 - Mechanical Oscillations Simple Harmonic Pendulum A simple example of a mechanical oscillation (simple harmonic motion) can be observed by considering the system of a mass suspended by a length or negligible mass to create a pendulum as shown in Figure 11. The simple pendulum example has significant merit due to how easily this simple system can be converted into a complex system which exhibits chaotic behavior by the addition of another mass. This chaotic system will be discussed further when chaotic systems are introduced. Figure 11. A pendulum system capable of behaving in an oscillatory manner. If the simple pendulum?s movement is restricted to motion in one plane and it is perturbed by a small angle , a mechanical oscillation will be observed and a governing equation which describes the pendulum?s motion can be obtained by using Newton?s Second Law where is the total net force acting on the pendulum?s mass and is the acceleration vector of the mass as shown in Figure 12. Because the mass is restrained to move in a circle, the total net force in the system will be tangent to this circle. 29 Figure 12. Force diagram of a pendulum system. Two forces act on the pendulum system, gravity and tension. The magnitude of the tension in the cable will be equal to the magnitude of the force that gravity provides in the opposite direction. This leaves the only acting force to be the component provided on the mass due to the angular perturbation which acts in the direction tangent to the circle defined by the perturbation?s arc length. By adjusting the coordinate system such that the positive ?y? direction is in the direction which tension acts in the system, the sum of forces can be expressed as: Considering the path that the pendulum travels is circular in nature, this circle would have a total circumference of . The path that the mass travels can be expressed as a fraction of this circumference or arc length . In general, linear kinematics can relate position , velocity and acceleration by the use of the relation or . Using this relation the acceleration of the mass at the moment when it is perturbed and then released can be expressed by taking the second derivative of the arc length of its displacement . Recalling Newton?s law yields: 30 Because the forces in the ?x? direction are equivalent, a governing equation for the motion of the system can be obtained. The resulting equation is a non-linear second order differential equation. This type of equation may provide elusive solutions. The simplifying assumption that for small perturbations can be made in order to obtain a linear second order differential equation. This assumption is commonly called a linear approximation and comes from expressing the trigonometric function as a Taylor expansion and keeping only the lowest order (linear) term. In order to solve the resulting equation, a function must be considered which has a second derivative equal to a negative constant times itself. This property is found in both sine and cosine functions. Through a guessing method, valid solutions to this equation may be expressed as or . Substituting into the equation yields: 31 It is obvious that choosing is a solution. Therefore, is a solution. Similarly, is also a solution. Thus, solutions to the linear second order differential equation are of the form where and are arbitrary constants. Furthermore, if the initial conditions of displacement and velocity are given the constants and can be calculated. The period of this simple harmonic oscillator can also be obtained by considering the period of or to be . Thus, the period of the simple harmonic oscillator is: 32 Section 6 - Electronic Oscillations Electronic oscillations can be found in an RLC circuit such as shown in Figure 13. Analysis for this type of system is similar to many mechanical analogs and is provided subsequently. Figure 13. A circuit schematic for an RLC circuit. From Kirchoff's Voltage Law applied to a conservative field mesh analysis is performed: Differentiating both sides and treating values R, L and C as constants: 33 The zero-input response is the state of the circuit with no forcing input (current or voltage) and is obtained by setting . This yields simple methods for finding the characteristic equation of the circuit as well as finding Eigen values the homogeneous differential equation. Let , the operator is chosen such that , and . This allows the use of Cramer's Rule to yield a solution. Because the equation takes the form of , it will yield roots of the form which are solutions to the characteristic equation. From the foresight of Control Theory allow the terms and . 34 Allowing to be an assumed solution to , the solution to the differential equation is concluded with . Where is known as the damping coefficient and is the circuit's resonant frequency. In summary: Dampening Term: Resonant Frequency: This solution exhibits different behavior for three different cases overdamped ( ), underdamped ( ) and critically damped ( ). 35 Section 7 - Electronic Oscillators Linear Electronic Oscillators Linear electronic oscillators are designed by taking advantage of passive element characteristics and feedback conditions described by the Barkausen criterion in order to produce a sinusoidal output in voltage or current. Many topologies of linear electronic oscillators exist, but only an example of a resonant (Colpitts) and time-constant (phase-shift) will be discussed. Phase-shift Oscillator The phase shift oscillator (shown in Figure 14) exhibits a very complex solution. It is conventional to allow and . This greatly simplifies the analysis of this circuit. Resonant frequency of this circuit then becomes [15][16]: and the instability criterion is: 36 Figure 14. Schematic of a phase-shift oscillator circuit realized with an op amp. Colpitts Oscillator The Colpitts oscillator takes advantage of the resonant tank circuit. This circuit applies feedback in such a way that the system is unstable and will oscillate[15][16]. A schematic of the Colpitts oscillator is shown in Figure 15. Figure 15. A circuit schematic of a Colpitts oscillator realized with an NPN BJT. Nonlinear Electronic Oscillators In contrast to a linear electronic oscillator which produces a sinusoidal voltage or current which is ideally comprised of a single fundamental frequency, a nonlinear electronic oscillator produces some arbitrary, periodic waveform which often has significant frequency content beyond the fundamental frequency of its operation. 37 A common nonlinear waveform produced by many topologies of electronic oscillators is the square wave. A square wave oscillates between two discrete states at a fundamental frequency. Square wave generators may be implemented by circuit configurations such as the astable 555 timer [25], astable multivibrators, ring oscillators or even microcontrollers. The astable multivibrator is capable of generating a nonlinear oscillation in the form of a square wave [15][16]. This circuit consists of a two state system comprised of transistors which alternatively switch on and off in order to create a pulse train. A typical circuit is illustrated in Figure 16. Figure 16. Circuit schematic of multivibrator circuit implemented using NPN BJTs. For this specific topology of an astable multivibrator, a square wave of 50% duty cycle may be generated by selecting and . The frequency for this case is given by: 38 Section 8 - Nonlinear Oscillator Governing Equations and Chaos The analysis of linear oscillations was presented using various techniques, but for many systems linearization and use of these techniques may not be sufficient in accurately describing their behavior or phenomena [13]. Many techniques, most being numerical methods [22], have been developed to analyze nonlinear systems. Consider the one-dimensional linear system aforementioned: If either or contains terms which are higher than first order, the system is considered to be nonlinear. Nonlinear equations may not be described with complete solutions and often times require special treatment or methods to analyze [13]. Chaotic behavior can be described using nonlinear governing equations. Deterministic chaos, as opposed to randomness, is of particular interest for the purposes of the work presented in this thesis. In general, a chaotic system has a time evolution which exhibits a sensitive dependence on initial conditions. It is important to note that deterministic chaos does not refer to random processes in which the present state of the system has no causal connection to the previous (i.e. flipping a coin). Deterministic chaos found in processes will be noncausal and nonrandom [13]. Deterministic chaos is always governed by nonlinear equations; nonlinearity is a necessary condition for chaos, but not a sufficient one. Chaos must be more than nonlinear, a chaotic system must depend in a sensitive way on its previous state, and must be solved numerically. Double Spring System The linear oscillator example of a mass suspended from a stationary surface by a spring can be expanded into a nonlinear oscillation example by considering a mass suspended from two springs on either side of the mass. 39 Figure 17. A double spring mechanical system capable of nonlinear motion. Consider a mass suspended between two identical springs as shown if Figure 17. The steady- state solution for this nonlinear system can be obtained for a driving force . If both springs are not in extended this leaves the particle with no tension, no potential energy and at rest in its equilibrium position. If the mass is displaced from its equilibrium position, each spring exerts a force ? on the spring. Given that gravity is ignored, the total net force on the mass is: With Therefore, 40 Assuming that is restricted to a small value the radical may be expanded: Neglecting higher order terms yields: This shows that even with the assumption that is a small value, it is still proportional to and intrinsically nonlinear. Consider that in order to attach the mass to the springs, each spring must have been stretched a length which applied some amount of tension acting on the spring. The force for this alternate system would then become: This new assumption introduces a linear term such that the motion of the system will be approximately that of a linear harmonic oscillator for small values of displacement. The coefficient of the nonlinear term is customarily deemed . When the nonlinear force exerted in the system is less than the linear force and the system is considered hard. When the nonlinear force exerted in the 41 system is greater than the linear force and the system is considered soft. For the tensioned double spring system mentioned, which makes the system hard [13]. Considering the driving force the governing equation for the motion of the stretched spring system becomes: Let , , so that the sum of forces equation can be rewritten as: This equation may be solved, but with some difficulty. Important characteristics about the solution of this equation can be found by a method of successive approximations. If is tried as a solution and inserted into the right hand side of the equation, it can be rewritten as: The trigonometric identity may be used in order to obtain a solution. After double integration and ignoring integration constants the solution becomes: This solution is very complicated. Conventionally, numerical methods would be used to come to this solution. Note that the amplitude of the function depends on the driving frequency, but there is no 42 resonance that occurs at the natural frequency of the system. Three different values for the system?s amplitude are possible, but there may be jumps or discontinuities between their values. Phase Diagrams of Nonlinear Systems Phase diagrams have been shown useful in describing linear systems. This technique is one of the few techniques which also can provide insight into nonlinear systems. When considering the parabolic motion of an object trapped in a physical potential well, a phase diagram may be constructed to describe the system?s behavior for different given energies [13]. Phase diagrams for such systems may be generally obtained by considering . If the asymmetric potential shown in Figure 18 - (a) is considered, a soft system occurs for and a hard system occurs for . If no damping is considered, the phase diagram takes the shape of an oval as shown in Figure 18 - (b) [13]. Figure 18. (a) An asymmetric potential for an aribitrary system (b) the corresponding phase portrait. If damping is considered, the phase plot will spiral down to an equilibrium point as the total energy of the system is dissipated. This equilibrium point is called an attractor. An attractor is a set of points (or one point) that exist in the phase space which the system is attracted toward when damping is 43 present. These attractors can be stable if the phase plot settles toward it or unstable if the phase plot is repelled away from it. Planar Pendulum The planar pendulum is another elaboration upon a linear system, the simple pendulum, for which a wider range of displacement is considered resulting in nonlinearity as shown in Figure 19. The solution to the planar pendulum may be expressed in closed form by elliptical integrals. Consider a mass restricted by a weightless, frictionless rod to move in a vertical circle of radius . If gravitational force is downward, then the component of this force which influences the system?s motion is perpendicular to the support rod. The plane pendulum is a nonlinear system which has a symmetric restoring force, and it is only for small angular displacements that linearization will yield reasonable results. Figure 19 Diagram of a planar pendulum. By setting the torque about the support axis equal to the product of the angular acceleration and rotational inertia about the same axis the equation of motion for the plane pendulum becomes: Because and the equation describing the system?s motion becomes: 44 Where . For small angle displacements it has been shown that the equation for motion is . This equation yields behavior of a linear oscillator. Although, if the equations of motion are defined from the highest point of which the pendulum is capable obtaining potential energy, the governing equation for its period becomes: Which yields an equation of position when integrated: Chaotic Pendulum Chaotic behavior as well as nonlinear behavior may be observed in many pendulum systems. This can be shown in pendulum systems such as a pendulum with a forced pivot similarly shown in Figure 11, a double pendulum as shown if Figure 20, a coupled pendulum or a magnetic pendulum to name a few. 45 Figure 20. Diagram of a forced pendulum system. The torque of a pendulum with a forced pivot may be described as: This equation will describe chaotic motion for specific initial conditions, but in general is nonlinear. Lyapunov Exponents Chaotic behavior has been described as having a sensitive dependence on initial conditions, non periodic, and having no resonant frequency. It is often the degree of sensitivity to initial conditions that may outweigh the accuracy equipment used to take measurements of a system [13]. One method to characterize a system?s sensitivity to initial conditions which are intrinsic to chaotic behavior is the use of the Lyapunov characteristic exponent [13][23]. The number of Lyapunov exponents a system exhibits is equal to the number of variables being analyzed in a system. Consider a system with one variable being analyzed and therefore one Lyapunov exponent [13]. 46 For a system with two initial states, and , which differ only by a small amount, . The Lyapunov exponent represents the coefficient of the average exponential growth per unit time between the two states. After iterations, the difference between the two values is approximately: If the Lyaponuv exponent is negative, the two orbits will converge, but if it is positive, the trajectories will diverge and the result is chaotic behavior. Chua?s Circuit A notable electrical system which exhibits chaotic behavior is Chua?s circuit as shown in Figure 21. Chua's circuit utilizes the Chua diode which is a nonlinear electronic component what exhibits a negative resistance [24]. Because this component switches between two states, the system is bounded by two equilibrium positions. This behavior creates an attractor in the phase space referred to as a double scroll attractor. Important equations that describe this behavior are [24]: 47 Figure 21. Circuit schematic of Chua's circuit with an active inductor. 48 Section 9 - Literature Review A Matched Filter for Chaos Novel chaotic oscillators have been submitted which exhibit an exact analytic solution and are paired with a simple matched filter. These types of oscillators are hybrid dynamical systems which include discrete switching conditions as well as an analog signal modeled by differential equations. The analytic solution can be written as a linear convolution of a fixed basis function and a symbol sequence. This symbol sequence can be used similarly to conventional waveforms. This system is proven to by chaotic because its waveform returns are shown to conjugate to a chaotic shift map [1]. This oscillator and matched filter have been realized in a low-frequency (~84Hz) electronic circuit with a high degree of agreement between the analytical solution and the measured chaotic waveform. Secure Communications for Military Applications The modern military desires constantly reliable and secure communications between virtually all assets (soldiers and equipment). Due to power and weight limitations, small low-power, simple communications systems are of great interest, provided the goals of reliability and security are met. Spread spectrum techniques afford the ability of the transmitter to avoid enemy detection. A number of spread spectrum techniques are widely used, such as chirp and frequency hopping. Chaos communications is also regarded as providing spread spectrum communications security [12]. Chaos and Chaotic Systems Chaos as a physical phenomenon offers certain features that may be exploitable in radio frequency communication systems to provide security, spectrum efficiency and other advantages. Within the family of exactly-solvable hybrid chaos communication systems there are two canonical representatives. One is based on a shift map and the other is based on a folded band map. Due to implementation issues in the encoder, the folded band is preferable and will be the object of this review. 49 Exactly Solvable Chaos for Communications The root concept is the realization of an electronic oscillator that exhibits exactly solvable chaotic behavior. This concept must be realized in such a way that it is possible to control the output of the circuit in order to encode and decode information successfully. Discrete/continuous time hybrid systems have been developed with these exactly solvable chaotic characteristics. A chaotic system must exhibit two features: exponential divergence of nearby trajectories (characterized by a positive Lyapunov exponent) and trajectory boundedness. The approach is to force the continuous-time portion of the hybrid system with an exponential divergence and then restrict boundedness through the action of the discrete-time portion of the system. The hybrid system's governing equations for such are: where: The output of the system is u(t), an analog oscillation, and the function H(x) is a Heaviside step function, a discrete switching event. The parameter , constant weights of the s(t) throughout a half time unit of length, of the differential equation (continuous-time portion of the hybrid system) is set so that the oscillation is negatively damped. Hence the oscillation grows in amplitude. This is achieved through the use of GIC circuit to create a negative resistance in parallel with a tank circuit. The u(t) oscillation is centered around the instantaneous equilibrium point s(t). The switching of this equilibrium point constitutes the discrete-time portion of the hybrid system commonly referred to as a ?guard? condition. 50 Consider that initially s = 0. The growing oscillation will be centered on the value u = 0. The oscillation will grow in amplitude due to its negative damping. When the u(t) trajectory reaches the point where its derivative is equal to zero (each relative minimum and maximum), the guard condition is triggered. When the guard condition is triggered, s(t) is set equal to 1 if u(t) > 1, else s(t) is set equal to 0. Since the oscillation is growing, a maximum is guaranteed to occur when the oscillation grows beyond a threshold value that results in u(t) > 1. This changes s(t) from 0 to 1. This elevation in the equilibrium set point will only last half a cycle before the next inflection point triggers a guard condition. This event restores s(t) to the value 0 and the oscillation will once again grow about the value s(t) = 0. Thus the effect of the discrete-time portion of the hybrid system resets the spreading trajectory so that it is bounded. Although this system is simple, it has far reaching implications. An exact solution can be produces because u(t) can be expressed as the superposition of weighted deterministic basis pulses Q(t). The weights m are the values of s(t) that are constant throughout intervals of ? time unit length. Thus, for a given initial condition, un, it is possible to find a sequence of amplitudes m ? {0, 1} that satisfy: This relationship is denoted the ?inverse coding function.? An alternative interpretation of this function is that a future amplitude sequence { 0, 1, ?, m , ?} can be generated by the system by choosing the specified initial condition un. From this viewpoint, it is possible to encode a symbol sequence by adjusting (or controlling) the current state: the farther out in the future the symbols are 51 specified, the smaller the adjustment required. This technique, ?symbolic dynamics control,? is attributed to Hayes [2] [3] and therefore is referred to as Hayes type chaos communications. This technique provides a benefit in that the modulation or control effort required in the circuit to encode the message can, in principle, be made arbitrarily small. This previously published oscillator design was reported to have a fundamental frequency of 84Hz. The bandwidth of this circuit is far too low for use in military communication systems. To be useful for secure military communications applications, the chaotic oscillator has to be redesigned to have a fundamental frequency in the RF frequency range. Achieving this goal will yield two benefits over the low frequency design. The first benefit will be a data rate compatible with communication requirements. The second benefit will be an oscillator compatible with RF communications that can take full advantage of the spread spectrum characteristics inherent in the chaotic oscillator design. 52 Chapter 3 - Design, Simulation & Testing Section 1 - Design The LF chaotic oscillator circuit schematic is shown in Figure 22. The general operation of the LF and HF circuits are identical. The circuit's operation can best be described as a resonant tank circuit which is in parallel with a GIC configured to contribute a negative resistance which is tunable by a means of a potentiometer. This branch of the circuit generates a sinusoidal signal which will grow exponentially at a rate dictated by the negative resistance. This growth is limited by a guard condition which is set by means of a comparator. The switching threshold of this comparator is similarly tunable by means of a potentiometer. This guard condition is set to switch when the oscillator's derivative is at a zero crossing. When this guard condition is triggered, logic signals which are fed into a state machine cause the state of the system to switch to one of two equilibrium points. This switching of states generates a discrete, chaotic bit stream which is determined by an analog oscillation. Figure 22. Circuit schematic of the LF chaotic oscillator. 53 The RF spectrum ranges from approximately 1MHz to 10?s of GHz, from which a suitable RF band was selected. The original low frequency oscillator and matched filter circuits made extensive use of op amps in order to realize circuitry that matched the governing differential equations. Therefore, it was decided to keep the architecture as similar as possible in realizing a high frequency version with the exception of an active inductor, which was replaced by a discrete inductor. A goal of 1-2MHz of operation was set. This RF band has several advantages, and restricts op amp selection to wide bandwidth op amps. Some challenges arose while creating a high frequency counterpart to the LF chaotic oscillator circuit. The oscillator circuit is extremely sensitive to stray capacitance. This restricted its construction to a PCB because of stray capacitance issues found in breadboards. The circuit is also very sensitive to phase shift and phase distortion. To mitigate the effects of phase related issues, the HF circuit was designed with op amps which had a flat phase response over the frequencies of interest. This was verified qualitatively through phase vs. frequency diagrams in datasheets [9][10][11][12] then confirmed to be true by SPICE simulation. The logic family chosen for design of the discrete state machine between the comparators and node S were chosen such that their delay would add minimal phase shift. This was achieved by selecting logic ICs which had extremely low propagation delays. Op amp selection is one of the most critical elements in realizing a high frequency version of the op amp based chaotic oscillator. There are many wide bandwidth, unity gain stable, voltage feedback op amps commercially available. Many of these amplifiers, however, are low voltage devices, such as the Analog Devices ADA4855-3, a 410MHz op amp [9]. This op amp, however, has a maximum power supply voltage of only 5.5V, which affords insufficient headroom for the system voltage signals currently being used in our implementation. Another complication is the phase response of the op amp. For this application, the op amp requires a fairly flat phase response over the majority of the oscillator bandwidth to minimize distortion in the feedback network. Many wide bandwidth op amps do not have a 54 sufficiently flat phase response. For example, although the Texas Instruments LMH6609 voltage feedback op amp has a sufficiently high 900MHz bandwidth, its phase response is insufficiently flat. The phase response is approximately flat between 1MHz and 3MHz, but not below 1MHz [10]. The motivation to increase the oscillator's fundamental frequency of operation into the RF region (MHz range) led to changing the oscillator's passive components for an increase in frequency. This proved to have a theoretical limit of 50kHz at best when using the original TL084 op amp. Op amp choice was the most crucial factor in bringing the fundamental frequency to the RF range. By choosing an op amp with a flat frequency and phase response, this goal was eventually achieved in both simulation and hardware with high correlation to test results. Frequency Limitations of the TL084 As aforementioned, the TL084 op amp proved to have a theoretical limit of 50kHz when altering the tank circuit to reach the highest theoretical frequency. This theoretical maximum frequency was simulated using LTSpice. To overcome this limit, frequency multipliers and different oscillator configurations were considered. A viable Frequency Shift Keying (FSK) circuit was developed and simulated for a proof of concept by means of a voltage controlled variable oscillator tuned to RF. This allows a base band signal to shift the frequency of a transmitted signal for the duration of a single bit. This allows a bit stream to be transmitted at an RF signal without 'mixing.' 55 Altering the Oscillator's Capacitance for Direct RF Synthesis Simulations were performed to evaluate what effect different capacitance values would have on the fundamental oscillator frequency. Capacitance (C) was varied from 1uF to 1pF while keeping the inductance (L) constant. Simply altering the oscillator's resonance by selecting the appropriate capacitance value for RF tended to dampen oscillations and was eventually limited to approximately 7kHz (for the active inductor used in prototyping). This behavior is outlined in Figure 23 - Figure 27. Figure 23. C2 = 1uF Time Domain Plot: Blue-Vd Green-V. Figure 24. C2 = .4uF Time Domain Plot: Blue-Vd Green-V. Figure 25. C2 = .2uF Time Domain Plot: Blue-Vd Green-V. 56 Figure 26. C2 = 1pF Time Domain Plot: Blue-Vd Green-V. Figure 27. Frequency Domain Plot as C varies (1uF-1pF) : Blue-Vd Green-V; frequency range was 300Hz - 7kHz. This trends shows that as the capacitance value of C is varied, the frequency of operation is increased. This increase has a practical limit as an infinitesimally small capacitance value is not achievable; especially in the case of designing RF circuits due to parasitics. The limit of this frequency increase approaches approximately 22kHz. This behavior is illustrated in Figure 28 and Figure 29. Figure 28. Time domain for infinitesimally small C value (.01pF). 57 Figure 29. Frequency domain for infinitesimally small C2 value (.01pF). This limit approach around 22kHz. The conclusion from these simulations shows that varying the C value alone is not adequate enough to reach RF frequencies. This leads to the motivation to alter the active inductor op-amp configuration, although this too will approach a similar maximum frequency of operation. It is also unknown if the circuit will behave chaotically at these higher frequencies. A motivation to increase the fundamental frequency of the circuit's oscillation is still desirable to increase the operational data rate for large amounts of binary throughput, but direct high frequency (100MHz-~2GHz range) synthesis for use in RF channels remains a sizable challenge due to components' theoretical frequency limits. Altering the Oscillator's Inductance for Direct RF Synthesis The maximum theoretical frequency is shown by simulation of the circuit with the infinitesimally small C value held constant and the active inductor replaced with an ideal inductor which was varied to an infinitesimally small value. 58 Figure 30. Time domain for L = 100mH and infinitesimally small C value (.01pF); f = 7.3kHz. Figure 31. Frequency domain for L = 100mH and infinitesimally small C value (.01pF); f = 7.3kHz. Figure 32. Time domain for L = 10mH and infinitesimally small C value (.01pF); f = 50kHz. Figure 33. Frequency domain for L = 100mH and infinitesimally small C value (.01pF); f = 50kHz. 59 Figure 34. Time domain for L = 1mH and infinitesimally small C value (.01pF); f = 1.3kHz. Figure 35. Time domain for L = 1mH and infinitesimally small C value (.01pF); f = 1.3kHz. The active inductor was nominally swept from 1mH to 100mH and only was over-damped in most cases. The highest frequency of operation observed was approximately 50kHz at L = 10mH. This behavior is shown in Figure 30 - Figure 35. The conclusion from simulations using infinitesimally small values of L and C show that the maximum frequency for direct frequency synthesis is limited to approximately 50kHz by only changing lumped parameters. Because this frequency range does not meet the desired goal of >1MHz, alternative circuit topologies and different component selection, such as op amps, were considered. 60 Fundamental Oscillator Frequency Drift Due to Feedback Voltage Figure 36. Abbreviated schematic of feedback voltages measured. The oscillator exhibits a fundamental frequency shift due to the shift in equilibrium points (guard conditions). This frequency drift was inspected by measuring the feedback voltage when the circuit is in 'shift band'; breaking the feedback loop; inserting the measured voltage as a D.C. source; and finally measuring the oscillator's frequency. A partial circuit schematic of the procedure is shown in Figure 36. This was done for the logic case '0' and '1.' Table 2. Table displaying oscillator frequency for feedback voltage values in 'shift band'. Logic Symbol Logic Level Feedback Voltage Oscillator Frequency 0 0V 308.671mV 5.904kHz 1 3.3V 1.47545V 5.854kHz The ultimate motivation was to determine the feasibility of using a voltage controlled variable oscillator in place of the current oscillator topology. The resulting behavior and frequency dependence of logic states is summarized in Table 2 and illustrated in Figure 37 - Figure 40. 61 Figure 37. Oscillation produced when logic level '1' (1.47545V feedback voltage) is presented in the feedback loop; f = 5.854kHz. Figure 38. Frequency domain for oscillation produced when logic level '1' (1.47545V feedback voltage) is presented in the feedback loop; f = 5.854kHz. Figure 39. Oscillation produced when logic level '0' (308.671mV feedback voltage) is presented in the feedback loop; f = 5.904kHz. 62 Figure 40. Frequency domain for oscillation produced when logic level '0' (308.671mV feedback voltage) is presented in the feedback loop; f = 5.904kHz. High Frequency Circuit Design and Op amp Selection The Linear Technology LT1220 [11] op amp was selected for genenral use in the high frequency chaotic oscillator circuit. This op amp has a gain bandwidth of 45MHz, a maximum power supply range of 36V and a sufficiently flat phase response around 1MHz. A schematic drawing of the LTSPICE simulated high frequency oscillator is presented in Figure 43. As aforementioned, the GIC used to form the inductor in the low frequency oscillator was replaced with a real 100?H inductor which was modeled as possessing a series resistance of approximately 1?. An LT1721 comparator chip was used for the two comparators (U3 and U4). For the logic gates, CMOS HCT technology gates were utilized (A1 through A4). This chaotic oscillator exhibited a fundamental frequency of approximately 2MHz. Selecting an op amp with a flat phase response brings forth significant challenges. For this application to have minimal distortion in the feedback network, the op amp needs a flat phase response over the majority of the oscillator bandwidth. For example, consider the phase response of the Texas Instruments LMH6609, a 900MHz voltage feedback op amp, in Figure 41 [11]. Although the phase response is approximately flat between 1MHz and 3MHz, this is not the case below 1MHz. 63 Figure 41. Open loop frequency and phase response plot for the LMH6609 op amp [11]. Ultimately, the Linear Technology LT1220 [12] op amp was selected. This op amp exhibits a gain bandwidth of 45MHz, a maximum power supply range of 36V and a sufficiently flat phase response around 1MHz as shown in Figure 42. Figure 42. Frequency and phase response for the LT1220 op amp [12]. A schematic drawing of the LTSPICE simulated high frequency oscillator is presented in Figure 43. This new design was realized using the decided design criterion such as flat phase response over the 64 frequency range of interest, high gain at the frequency of interest, and comparators [26] and logic devices [27] with minimal delay times to reduce phase distortion. Figure 43. Schematic diagram of the simulated RF chaos oscillator circuit. Printed Circuit Board Design Although it was sufficient to prototype and test a low frequency version of this circuit on a breadboard, the HF version of this circuit would suffer from stray capacitances to which the circuit is very sensitive. For this reason, a printed circuit board was designed for populating and testing. This design was done via CAD tools (Free PCB) as shown in Figure 44. 65 Figure 44. Screen capture of the CAD tool FreePCB. High frequency considerations for PCB design were not extensive although data lines were routed to avoid power traces, surface mount parts were selected, traces were kept as small as possible and thorough grounding was achieved. A series of different size bypass capacitors (.1?F, 1?F and 47?F) were attached between each power line and ground in order to minimize power fluctuations due to switching. 66 Figure 45. CAD illustration of the final PCB design. This subsequent design illustrated in Figure 45 was then populated then tested with a high correlation between simulated behavior and testing data. The resulting PCB is shown in Figure 46. Figure 46. Photograph of the final PCB which has been populated (excluding potentiometers). 67 Section 2 - Simulation LTSPICE Simulation was performed with a Linear Technologies' SPICE simulator LTSPICE IV as shown in Figure 47. This is a graphical SPICE simulation suite which contains schematic capture as well as a waveform viewer. This simulator is much faster in comparison to older SPICE analysis tools and superior in the fact that the numerical methods used are capable of converging with simulating a GIC, which is essential in the simulation of this HF chaotic oscillator. Figure 47. Screen capture of the simulation tool LTSPICE IV. High Frequency Chaotic Oscillator Simulation The designed high frequency chaotic oscillator was simulated in LTSPICE. The simulation results illustrate behavior very similar to that of the low frequency oscillator while having a fundamental frequency greater than 1MHz. 68 Figure 48. Simulated phase portrait of the HF chaotic oscillator generated by LTSPICE IV. The phase portrait shown in Figure 48 illustrates the chaotic behavior of the system. Two attractors converge toward two distinct states. These states can be used to generate a binary bit stream. This behavior is illustrated in the time domain by Figure 49. Figure 49. Simulated time domain data for(a) the fundamental analog oscillator voltage V(v), (b) the fundamental analog oscillator's derivative, and (c) the generated bit stream S(v) generated by LTSPICE IV. 69 The autonomous chaotic oscillator signal, V, is shown in Figure 49 - (a). This signal is buffered then fed into the input of a comparator to create a logic level signal. In order to detect points of inflection, the signal V is differentiated to create the signal Vd shown in Figure 49 - (b). The signal Vd is similarly converted to a logic level signal. These two signals are applied to a logic network to create switching events representing the symbolic dynamics of the system. The resulting bit stream is shown in Figure 49 - (c). This bit stream is generated by applying a logic function which assures chaotic behavior illustrated in the phase space by Figure 56. The subsequent frequency domain characteristics are plotted in Figure 50. Figure 50. Simulated Frequency domain data for (a) the fundamental analog oscillator voltage V(v) and (b) the fundamental oscillator voltage's derivative generated by LTSPICE IV. These simulation results confirm correct operation of the chaotic oscillator at high frequencies and agree very strongly with the theoretic equations describing the behavior of the dynamical system. FPGA Logic Simulation An Altera FPGA has been programmed in VHDL to perform the same state machine found in the logic configuration of the chaotic oscillator circuit as illustrated in Figure 51. The FPGA has a great advantage, because the logic can easily be optimized or altered and will ultimately exhibit very low time delays. 70 Figure 51. Portion of the chaotic oscillator implemented on FPGA. Once the VHDL code (see Appendix C) was written to match the state machine function, it was simulated using ModelSim. The simulation results yielded a complete logic table (shown in Figure 52) that was in agreement with the chaotic oscillator's state machine. Figure 52. Input and output waveforms generated in ModelSim. 71 Section 3 - Testing Low Frequency Testing Figure 53. Testing area for the LF chaotic oscillator. In order gain insight to the operation of oscillators with exactly solvable topologies, a low frequency version presented from previous research [1][14] was prototyped and tested as shown in Figure 53 and Figure 54. These testing results were unsuccessful until a tuning procedure was developed. 72 Figure 54. Breadboarded LF chaotic oscillator prototype. The resulting behavior of the circuit showed symbolic coding limitations when operated in the shift band. This is because the shift band must always terminate each state change with a single pulse. This proves to not be optimal for data encoded, thus the circuit was ultimately engineered to operate in the folded band. Circuit Tuning Procedure In order for the LF chaotic oscillator shown in Figure 55 to perform correctly, the comparator on the upper branch of the circuit must have a threshold set to properly trigger the guard condition of the hybrid system. Once this guard condition is triggered, a switching event will occur. This switching event causes the growing oscillation V to reset and grow about another equilibrium point. For these conditions to be met, a tuning procedure was developed. This procedure is a method to trim the potentiometer on the positive terminal of the comparator's input such that this threshold is set correctly. 73 Figure 55. Circuit schematic of the LF chaotic oscillator which was sucessfully tuned and tested. It should be noted that the LF oscillator has certain power consumption characteristics when it is correctly tuned to one of two chaotic bands, the folded band or the shift band. The 15V rail will draw around 15-16mA where the 5V logic power rail will draw around 5mA. The tuning procedure used to set the correct threshold voltage and subsequently trigger the guard condition is as follows: 1) Disconnect differentiating capacitor located at voltage to current converter. 2) Verify that Vd = 0V. 3) Adjust top potentiometer (+15V -15V pot) until both sides of Vd comparator are the same voltage 4) Reconnect differentiating capacitor Once the guard condition is being properly triggered, the negative resistance which is in parallel with the oscillator's resonant tank circuit may be tuned via a potentiometer to ensure the waveform is chaotic and not a pure sinusoid. This negative resistance also controls how many equilibrium point crossings will occur while the system is at any one equilibrium point. 74 By tuning the potentiometer connected to the S output two distinct modes of operation may be obtained. Both of these modes, the shift mode and the folded-band mode, were obtained with the low frequency version of this chaotic oscillator. Folded Band Oscillator The folded band of the oscillator is an indication that the circuit is exhibiting correct operation. A phase portrait of the folded band is presented in Figure 56. The shift band is considered less useful than the folded band of operation because of symbolic dynamic restrictions, although these restrictions will be more closely investigated as future work. Figure 56. Increasing zoomed oscilloscope data of the LF chaotic oscillator phase space. A representation of the time domain signals is given in Figure 57. These signals illustrate correct switching and oscillation about two distinct equilibrium points. 75 Figure 57. LF chaotic oscillator operating in the folded band: time domain test data of (a) the analog fundamental oscillator voltage V (yellow waveform) and the generated chaotic bit stream S (green waveform) as well as (b) analog fundamental oscillator voltage V(yellow waveform) and its derivative Vd (green waveform). Shift Mode Oscillator Once the folded band operation was confirmed, the circuit was tuned to the shift band by adjusting the value of the potentiometer connected to the output S of the discrete signal stage (logic gates). A figure illustrating the phase portrait of the circuit exhibiting correct operation in the shift band is presented in Figure 58. 76 Figure 58. Phase portrait of the LF chaotic oscillator circuit operating in the shift band. A representation of the time domain signals is given in Figure 59. These signals illustrate correct switching and oscillation about two distinct equilibrium points. Figure 59. LF chaotic oscillator operating in the shift band: time domain test data of (a) the analog fundamental oscillator voltage V (yellow waveform) and the generated chaotic bit stream S (green waveform) as well as (b) analog fundamental oscillator voltage V(yellow waveform) and its derivative Vd (green waveform). Note that the correct operation of the circuit shows inflection points for Vd at every zero crossing of the fundamental analog oscillator voltage V. This effect is closely illustrated in Figure 60. 77 Figure 60. Zoomed view of the LF chaotic oscillator operating in the shift band with time domain test data for the analog fundamental oscillator voltage V(yellow waveform) and its derivative Vd (green waveform). High Frequency Testing The circuit was populated then testing on a PCB as seen in Figure 61. This was due to HF restrictions introduced by breadboard prototyping. Breadboarding the circuit introduced a high degree of parasitic capacitance to which the HF chaotic oscillator circuit was very sensitive. The HF circuit was subjected to the standard tuning procedure established during the LF testing. The HF circuit proved to exhibit correct operation in the shift band. 78 Figure 61. Fully populated PCB containing the HF chaotic oscillator circuit. Shift Mode Oscillator Because, the shift band was deemed to be less useful for encoding information than the shift band, the HF chaotic oscillator circuit was tuned specifically to the shift band with successful results. A phase portrait of the HF circuit operating in the shift band is provided in Figure 62. Figure 62. Oscilloscope data of the HF chaotic oscillator circuit exhibiting correct operation in the shift band. 79 A representation of the time domain signals is given in Figure 63. These signals illustrate correct switching and oscillation about two distinct equilibrium points. Figure 63. Oscilloscope data showing correct time domain operation of the HF chaotic oscillator. Although this circuit exhibits correct operation, there are nonidealities to consider. The first is a the large amount of ringing in the circuit upon switching event. The second is the false triggering of the guard condition to cause a switching event. These are most likely due to either the guard condition voltages being set too closely in value or non idealities in discrete components (i.e. resistors, capacitors or inductors). These non-idealities will be considered in future work. 80 Chapter 4 - Conclusion & Future Work Section 1 - Conclusion The high frequency design and simulation of a hybrid signal oscillator exhibiting low dimensional chaotic behavior with closed form solution was successfully designed and simulated. The realization of this chaotic oscillator at higher frequencies mitigates the disadvantages induced by low frequency operation, although some non-idealities should still be further characterized. The resulting design, verified through simulation, may find applications in electronic communications such as encryption and encoding. Much like similar low frequency chaotic oscillators, this HF oscillator allows not only for information to be chaotically encoded by means of Hayes type communications, but the information may also be elegantly decoded using a matched filter. 81 Section 2 - Future Work This oscillator should be further characterized by investigating the amount of acceptable phase distortion. Also a Monte Carlo analysis may be performed to obtain allowable deviations of part values. Furthermore, the non-idealities should be considered and further examined. This work will begin with a more accurate simulation which will include high frequency lumped parameter models of passive components. Other future work for the work presented in this thesis will include efforts to design, simulate, and test a HF matched filter which is paired to the HF chaotic oscillator and designed to decode information which has been encoded. Further efforts will be to successfully encode information by setting the initial conditions of the chaotic oscillator. By manipulation of these conditions, a desired bit stream may be encoded and subsequently decoded. The grammar defining the manipulation of this bit stream is yet to be determined. Once this grammar is defined, a theoretical bit rate may be obtained for a desired frequency of operation. Once this system successfully encodes and decodes information, contributions for an antenna design which can propagate these signals will be considered. This may prove challenging due to the wide bandwidth nature of the system, but may prove to be a contribution towards the propagation of spread spectrum technologies. As the desire for higher bit rate, bandwidth and fundamental frequency increases, the system may be elaborated into a system-on-chip (SOC) or an application specific integrated circuit (ASIC). R1 References [1] N. Corron, J. Blakely and M. Stahl, "A matched filter for chaos," Chaos 20, 023123 2010 [2] S. Hayes, "Chaos from linear systems: Implications for communicating with chaos, and the nature of determinism and randomness," Journal of Physics: Conference Series 23, pp. 215-257, 2005 [3] S. Hayes, C. Grebogi, and E. Ott, ?Communicating with Chaos,? Physical Review Letters. 70, 3031 (1993) [4] E. M. Bollt, Int. J. Bifurcation Chaos Appl. Sci. Eng. 13, 269 (2003) [5] S. Hayes, C. Grebogi, E. Ott, and A. Mark, Phys. Rev. Lett. 73, 1781(1994) [6] S. Katsura and W. Fukuda, Physica A 130, 597 (1985) [7] K. Umeno, Phys. Rev. E 55, 5280 (1997) [8] D. F. Drake and D. B. Williams, IEEE Trans. Signal Process. 55, 1379 (2007) [9] Texas Instruments, "TL081, TL081A, TL081B, TL082, TL082A, TL082B, TL082Y, TL084, TL084A, TL084B, TL084Y JFET-Input Operational Amplifiers," SLOS081E datasheet, Feb. 1977, Revised February 1999 [10] Analog Devices, "Single Supply, High Speed, Rail-to-Rail Output, Triple op Amp," ADA4855-3 datasheet, Nov. 2008 [11] Texas Instruments, "LMH6609 900MHz Voltage Feedback Op Amp," LMH6609 datasheet, 2011 [12] Linear Technologies, "LT1220 45MHz, 250V/us Operational Amplifier," LT1220 datasheet, Rev A [13] S. T. Thornton, J. B. Marion, Classical Dynamics of Particles and Systems, Belmont, CA: Brooks/Cole, 2004 [14] N. Corron, and J. Blakely, "Exact folded-band chaotic oscillator," Chaos 22, 023113 2012 [15] A. S. Sedra, K.C. Smith, Microelectronic Circuits 4th Edition, New York: Oxford University Press, 1998 [16] R. C. Jaeger, T.N. Blalock, Microelectronic Circuit Design 3rd Edition, New York, New York: McGraw-Hill, 2008 R2 [17] R. C. Dorf, R. H. Bishop, Modern Control Systems Eleventh Edition, Upper Saddle River, NJ: 2008 [18] R. K. Nagle, E. B. Saff, Fundamentals of Differential Equations 3rd Edition, United States of America: Addison-Wesley Publishing Company, 1993 [19] M. Itoh, "Chaos-based spread spectrum communication systems," Industrial Electronics, 1998. Proceedings. ISIE '98. IEEE International Symposium on , vol.2, no., pp.430-435 vol.2, 7-10 Jul 1998 [20] E. W. Kamen, B. S. Heck, Fundamentals of Signals and Systems Using the Web and Matlab 3rd Edtion, Upper Saddle River, NJ: Pearson-Prentice Hall, 2007 [21] R. H. Cannon, Dynamics of Physical Systems, United States of America: McGraw-Hill, 1967 [22] A. H. Nayfeh, B. Balachandran, Applied Nonlinear Dynamics Analytical, Computational, and Experimental Methods, Weinheim: Wiley-VCH, 2004 [23] F. C. Moon, Chaotic and Fractal Dynamics an Application for Applied Scientists and Engineers, Weinheim: Wiley-VCH, 2004 [24] R. Kilic, A Practical Guide for Studying Chua's Circuits, Hackensack, NJ: World Scientific Publishing Co. Pte. Ltd.: 2010 [25] National Semiconductor, "LM555 Timer," LM555 Timer datasheet, DS007851, 2006 [26] Linear Technology, "LT1720/LT1721 Dual/Quad 4.5ns, Single Supply 3V/5V Comparators with Rail-to-Rail Outputs, LT1720/LT1721 Datasheet, 17201c [27] Texas Instruments, "SN54HCT08, SN74HCT08 Quadruple 2-Input Positive-And-Gates," SCLS063D datasheet, Nov. 1988, Revised Aug. 2003 I Appendix A - HF Chaotic Oscillator Circuit Schematic II Appendix B - HF Chaotic Oscillator SPICE Netlist * K:\ChaoticOscillator\06_Feb\2_28\HF\Band1_Rev0\Band1_1MHz_rev0_LT1221_Compar1721.asc R1 V N007 221 tol=1 pwr=.25 R2 N013 N007 221 tol=1 pwr=.25 R3 N013 N019 10k tol=5 pwr=.5 V1 -15 0 -15V V2 +15 0 +15V V4 +5 0 5 L1 V N020 100?H R4 N006 Vd 2k tol=1 pwr=.6 R5 N016 N017 20k tol=1 pwr=.6 R6 Vd N002 30k tol=1 pwr=.6 R7 +5 N002 10k tol=1 pwr=.6 R9 N002 0 10k tol=1 pwr=.6 R10 +5 N017 10k tol=1 pwr=.6 R11 N017 0 10k tol=1 pwr=.6 R12 +5 N009 10k tol=1 pwr=.6 R13 +5 N008 10k tol=1 pwr=.6 R14 0 N005 10k tol=1 pwr=.6 R15 +5 N005 10k tol=1 pwr=.6 R16 0 N015 10k tol=1 pwr=.6 R17 +5 N015 10k tol=1 pwr=.6 R18 s N011 10k tol=1 pwr=.6 R19 Vs N004 15k tol=5 pwr=.5 R20 N004 +15 14k tol=1 pwr=.6 R21 -15 N011 36.5k tol=1 pwr=.6 R22 N001 N002 10k tol=1 pwr=.5 R23 N002 N003 500k tol=1 pwr=.5 R24 N001 +15 100k tol=1 pwr=.6 R25 -15 N003 100k tol=1 pwr=.6 R26 N011 Vs 1.9k tol=5 pwr=.5 A1 N008 N009 0 0 0 0 N010 0 AND Vhigh=4V A2 0 0 0 N008 N009 0 N014 0 OR Vhigh=4 A3 0 N010 0 0 N012 0 s 0 OR Vhigh=4 A4 s N014 0 0 0 0 N012 0 AND Vhigh=4 C2 N006 V 50pF R8 N019 N018 221 tol=1 pwr=.25 R27 N021 N018 221 tol=1 pwr=.25 R28 N021 0 10k tol=5 pwr=.5 XU3 N002 N005 +5 0 N008 LT1720 XU4 N017 N015 +5 0 N009 LT1720 XU1 0 N006 +15 -15 Vd LT1220 XU2 Vs Vs_1 +15 -15 Vs_1 LT1220 XU5 V N016 +15 -15 N016 LT1220 XU6 V N013 +15 -15 N007 LT1220 XU7 N019 N021 +15 -15 N018 LT1220 R29 N020 Vs_1 1 * -R .tran 0 1000us 40u .03u startup .param R 10k .lib LTC.lib .backanno .end III Appendix C - HF Chaotic Oscillator State Machine VHDL Code co_logic_base.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity co_logic_base is port( in_1: in std_logic; in_2: in std_logic; out_1: out std_logic); end co_logic_base; architecture behv of co_logic_base is signal int_1: std_logic := '0'; signal int_2: std_logic := '0'; signal int_3: std_logic := '0'; signal int_4: std_logic := '0'; begin process(in_1, in_2) begin int_1 <= in_1 and in_2; int_2 <= in_1 or in_2; end process; process(int_1, int_3, int_4) begin int_3 <= int_1 or int_4; out_1 <= int_3; end process; process(int_2, int_3) begin int_4 <= int_2 and int_3; end process; end behv;