This Is AuburnElectronic Theses and Dissertations

Show simple item record

Secondary Bus Performance in Reducing Cache Writeback Latency


Metadata FieldValueLanguage
dc.contributor.advisorBaskiyar, Sanjeev
dc.contributor.advisorAgrawal, Vishwani
dc.contributor.advisorYu, Weikuan
dc.contributor.authorVenkatesh, Rakshith
dc.date.accessioned2011-04-08T15:06:07Z
dc.date.available2011-04-08T15:06:07Z
dc.date.issued2011-04-08
dc.identifier.urihttp://hdl.handle.net/10415/2520
dc.description.abstractFor single as well as multi core designs, effective strategies to minimize cache access latencies have been proposed by a number of researchers over the last decade. Such designs include the Miss Status Holding Registers, Victim Buffers, Eager and Lazy Write backs, and Cache Pre-fetching. However, write-buffer stalls remain a bottleneck in real-time memory accesses. To alleviate this problem, the Secondary Bus Architecture was developed at Auburn. The secondary bus connects the write back buffer to the main memory via an independent secondary bus controller to retire dirty cache lines to memory. The write back traffic is only about 25-30% of the total traffic between the last level of cache and memory and is intermittent compared to read requests. Therefore, a narrow 8-bit secondary bus was used in the implementation. The secondary bus controller identifies idle main bus cycles by snooping on the main bus control lines. These idle cycles are used to retire write back buffer entries to the main memory. In this research, we evaluated the effectiveness of secondary bus in retiring cache write-backs to the memory using a series of extensive rigorous experiments run on the computers of the Alabama Super Computer Center using SimAlpha and SPEC CPU 2006 benchmarks. The simulator SimAlpha was used for analyzing the architecture since it incorporates a well defined memory hierarchy. The SPEC CPU 2006 programs are both CPU and memory intensive and thus were ideal candidates for our evaluations. The I/O injections used normal traffic distribution using DMA as well as the new Direct Cache Injection mechanism. We observed performance improvements of up to 35% over the base architecture (i.e. one without a secondary bus) in presence of I/O traffic on the main bus and 17% in absence of any I/O traffic. Furthermore, queuing delays on the main bus were observed to drastically reduce. In comparisons with Eager Write back, a strategy that is popular in many contemporary cache designs, it was found that the secondary bus architecture is much superior in performance.en_US
dc.rightsEMBARGO_NOT_AUBURNEN
dc.subjectElectrical Engineeringen_US
dc.titleSecondary Bus Performance in Reducing Cache Writeback Latencyen_US
dc.typethesisen_US
dc.embargo.lengthMONTHS_WITHHELD:6EN
dc.embargo.statusEMBARGOEDen_US
dc.embargo.enddate2011-10-08en_US

Files in this item

Show simple item record